The Intel Execution in [2024]

Intel 3 is basically on par with TSMC 5nm I think. At least performance wise, it's still down on density. They're using Intel 3 for server basically, which need more mature nodes and are ramping production of server products. For client they decided to move to 20A as I think Intel 3 wouldn't have been competitive with TSMC 3nm.
But it doesn't need to be competitive with TSMC 3nm, cuz nobody is using that on the consumer side of things. AMD will be on a TSMC 5nm family process probably until 2026 for their consumer CPU's(and even most of their server CPU's). Intel is currently using Intel 7 for their consumer products, and a leap to Intel 3 plus a new architecture seems like it'd be a clear great move. Intel 20A, going by rumored performance of Arrow Lake, sounds like it's very immature and will come with a level of clock regression that is going to neuter most of the IPC gains. Intel 3 likely wouldn't have any such issue, granting a full on PPA uplift.

I also think it's the opposite of Intel 'resting on their laurels'. They are trying to do too much, too quickly. The processes are technically getting pushed out, but in what state and what capacity? Those are super important. Just saying you have 20A means nothing if it's so immature that it has poor characteristics and you've only got like one machine that can build the chips in the whole world anyways.

Intel's problem continues to be the main thing that's been plaguing them for a while now - lackluster execution.
 

I'm still not sure what the problem is. What specifically is causing the failures?
 
They are trying to do too much, too quickly. The processes are technically getting pushed out, but in what state and what capacity? Those are super important. Just saying you have 20A means nothing if it's so immature that it has poor characteristics and you've only got like one machine that can build the chips in the whole world anyways.

"Five nodes in four years", so yeah. These days i'm remebering that interview with Dr. Ann Kelleher on Tech Tech Potato, seemed so determined and hopeful to gain back leadership and to entirely change Intel's Foundry's fortunes, basically overnight.

Also we got to here from not many years ago when they weren't able to deliver any new process. And the semi fab equipment manufacturers were whispering that Intel had issues with their tools while their competitors were way ahead in solving them.

If you live by the fabs, you die by the fabs is my take from this. Not too dissimilar of yesterday's AMD story in the end.
 
Lot of good info there.

1) They are very careful to optimise their own voltages for stability, so failures aren't from aggressive mobo overvolting.
2) Failures are happening later, so more in the field than at production.
The most concerning part of all of this to us here at Puget Systems is the rise in the number of failures in the field, which we haven’t seen this high since 11th Gen. We’re seeing ALL of these failures happen after 6 months, which means we do expect elevated failure rates to continue for the foreseeable future and possibly even after Intel issues the microcode patch.
So current failure rates aren't as high as other processors, but there's concern about the long-term situation.

Why weren't Intel 11th gen failure reported on? Did these happen over a longer timescale, so there's more failures, but not as quickly as the current 13/14th gen which is why no-one noticed? Plus how does their failure rate compare with consumers, where the failure rate is much higher than the Puget systems due to mobo settings?
 
Me guess would be that they weren't nearly as popular so them failing didn't affect many people.
Agreed, I don't personally know anyone who had a 10th or 11th gen Intel CPU, they either went AMD when they needed a new rig during that era, or just sat on their 8th/9th gens until 12th gen came out, of a sample set of maybe a couple dozen.
 
But it doesn't need to be competitive with TSMC 3nm, cuz nobody is using that on the consumer side of things. AMD will be on a TSMC 5nm family process probably until 2026 for their consumer CPU's(and even most of their server CPU's). Intel is currently using Intel 7 for their consumer products, and a leap to Intel 3 plus a new architecture seems like it'd be a clear great move. Intel 20A, going by rumored performance of Arrow Lake, sounds like it's very immature and will come with a level of clock regression that is going to neuter most of the IPC gains. Intel 3 likely wouldn't have any such issue, granting a full on PPA uplift.

I also think it's the opposite of Intel 'resting on their laurels'. They are trying to do too much, too quickly. The processes are technically getting pushed out, but in what state and what capacity? Those are super important. Just saying you have 20A means nothing if it's so immature that it has poor characteristics and you've only got like one machine that can build the chips in the whole world anyways.

Intel's problem continues to be the main thing that's been plaguing them for a while now - lackluster execution.

Plenty of companies are using 3nm for consumer, Apple being the primary but with Qualcomm, Mediatek, AMD to follow (Strix Halo), and even Intel with Lunar Lake. You have to keep in mind that Intel signed the 3nm deal with TSMC 3+ years back, before Pat was CEO and they probably anticipated AMD moving to 3nm as well so didn't want to be behind. From certain interviews and leaks, Zen 5 was originally planned for 3nm seemingly before being redesigned primarily for 4nm. Intel 3 is just a node advantage but Intel 20A also brings GAAFET and backside power so theoretically the performance and power advantages vs Intel 3 should be significant. Power in particular is something they had to fix given how bad 14th gen is.

Yes I kind of agree with you there but for a long time they were pretty much resting on their laurels. Anyway they have almost executed to plan with their 5N4Y plan so far and let's wait for Arrow Lake's actual performance before we draw any conclusions. If they can catch up to AMD significantly on power, that will be much needed.
 
Plenty of companies are using 3nm for consumer,
For PC's? I dont think anybody is outside Apple, and Intel is already creating a dedicated processor to tackle that side of the competition(and also using TSMC 3nm for that). For Arrow Lake, there is no need to be competitive with TSMC 3nm. Nobody else is using it.

And for all the 'on paper' advantages that going with 20A should have, I'm not sure it's gonna matter if it's very immature, all while Intel 3 is basically a more developed and mature version of Intel 4, and should represent enough of a leap forward in all the important areas to be worth using. I dont understand the rush to use 20A outside of just saying that they have it, unless the rumors are wrong and 20A is in decent shape and Arrow Lake will be a bigger leap than we're thinking.
 
For PC's? I dont think anybody is outside Apple, and Intel is already creating a dedicated processor to tackle that side of the competition(and also using TSMC 3nm for that). For Arrow Lake, there is no need to be competitive with TSMC 3nm. Nobody else is using it.

And for all the 'on paper' advantages that going with 20A should have, I'm not sure it's gonna matter if it's very immature, all while Intel 3 is basically a more developed and mature version of Intel 4, and should represent enough of a leap forward in all the important areas to be worth using. I dont understand the rush to use 20A outside of just saying that they have it, unless the rumors are wrong and 20A is in decent shape and Arrow Lake will be a bigger leap than we're thinking.

Yes that's easy enough to say in hindsight, but that decision wasn't made now, it was made years in advance when AMD was on Zen 3 and were rumoured/expected to go to 3nm with Zen 5. And if you've seen the performance of Intel 4 with Meteor Lake (which itself was quite delayed), Intel likely thought that Intel 3 wasn't going to be competitive enough and/or they would be able to get to 20A by H2'24 as they've also been promising their investors and wanted to demonstrate their catch up to TSMC.

There are obviously a lot of factors involved and like I said, it's too early to say anything yet. 18A is also set to ship by H2'25 which is not too far off Intel's timelines. Let's wait till we have more data on Arrow Lake's performance before we jump to conclusions about 20A.
 
Rentable units to me were between brilliant and we messed all up let's find a way to lessen the problem.
If they divert from current configuration to something more traditional or amd's c cores, it will be of no use.
Amd had something conceptually similar in bulldozer, and they bulldozered it as soon as they had a good architecture.
 
But.. it was never on any roadmap, was it?

Sounds to me like they are declaring random internal projects not making it into products as a "cancellation"

I don't think any public roadmap or leak had specifically mentioned anything beyond Nova Lake (with some timelines I mean). Intel's official roadmap only goes till Panther Lake in H2'25 from what I read and the leaks had Nova Lake in H2'26 or H1'27.
IRC it was gen 17, with rentable units and all the random exotic stuff.
I would have liked to look at it.

Anyway...
https://gizmodo.com/things-are-so-bad-at-intel-that-the-boss-is-posting-bible-verses-2000483248

From the link you shared, seems they're splitting Royal Core into many sub projects, and we might start seeing some tech like rentable units as early as Nova Lake. Nova Lake could be on Intel 14A if they really push it but that might be tight.

Hardware Unboxed published another video on the Raptor Lake issues and even they're not happy with the way Intel has handled things so far and say they will not be recommending Intel at the moment -
 
Yes that's easy enough to say in hindsight, but that decision wasn't made now, it was made years in advance when AMD was on Zen 3 and were rumoured/expected to go to 3nm with Zen 5. And if you've seen the performance of Intel 4 with Meteor Lake (which itself was quite delayed), Intel likely thought that Intel 3 wasn't going to be competitive enough and/or they would be able to get to 20A by H2'24 as they've also been promising their investors and wanted to demonstrate their catch up to TSMC.

There are obviously a lot of factors involved and like I said, it's too early to say anything yet. 18A is also set to ship by H2'25 which is not too far off Intel's timelines. Let's wait till we have more data on Arrow Lake's performance before we jump to conclusions about 20A.
AMD said pretty early on that Zen 5 would be a mix of 4nm/3nm, so plenty predicted well ahead of time that this meant full Zen 5 would be 4nm, and Zen 5c would be 3nm.

And using Intel 4 to say Intel 3 was gonna be uncompetitive kinda misses the point of what these processes are - Intel 4 was the rushed, immature process, while Intel 3 was gonna be a much better performing, mature process. We've seen in the past how big a difference this makes with Intel processes, not just with 10nm, but also 14nm as well. Even a single year can make all the difference.

Or maybe check the source of this rumor to understand it's almost certainly hogwash. Come on now folks.
 
Back
Top