Next-Gen iPhone & iPhone Nano Speculation

Actually, he didn't demonstrate that the L3 was utilized by the GPU.
The CPU reasserts itself as being shockingly strong. While the power virus data is interesting, I would have preferred if he had also gone into more depth on "typical" workloads.
Nothing too exiting going on with the GPU unfortunately, it just seems extremely power efficient, but the details as to why is missing. Or I missed them, it is seriously late in my part of the world. :)
 
Clock on the A7 GPU has been raised to 467 MHz in the new iPads from the 433 MHz of the iPhone 5s, in my estimation.

And, I agree that the power management profile the new iPads would use compared to the 5s will allow their GPU to maintain higher average clocks over the processing duration of a workload of real-world variability.
 
The 1 GB RAM is disappointing.

I've gotten used to Safari tabs reloading when I go back to Safari on my iPad 3.

But it would be nice to have more RAM available.

If they had 2 GB of RAM and touch ID, the Retina Mini with LTE would be a slam-dunk purchase for me.
 
The extra power consumption and heat from another GB or so of RAM shouldn't stand out much, I wouldn't expect, against the balancing act between the two much larger influences on those constraints, the display and battery.

Anyway, the performance improvement it would've brought to iOS 7 multitasking would be very welcome.
 
After first seeing the iPhone 5s's ~3.4 GTex/s fill rate scores, I figured the new iPads could get by very well in performance with the exact same SoC, but I was still surprised to see Apple return to the SoC sharing strategy of the iPads 1 and 2 with their respective iPhone counterparts.

Devs will basically have only one A7 performance profile to target, though the difference in pixel count between the phone and tablet form factors is obviously huge.
 
Interesting that Cyclone is the widest ARM processor made so far (issue width of 6 instructions) and featuring 4 MB SRAM acting as cache.
 
After reading Anand's iPad Air review, a few questions come to mind.

1) Does anybody have data on how much power each 4Gb LPDDR3 chip actually uses, ie is the difference between a 4 x 4Gb and 2 x 4Gb config more than 1W? I'm trying to ascertain if in certain conditions, i.e. browsing with many tabs open, that having to refresh tabs that have been kicked out of memory is actually more detrimental to overall power use than having a larger RAM pool in the first place.

2) Anand guesses that unlike the 5S, which stacks the RAM onto the SoC using PoP, the iPad Air, like its predecessor uses an external DRAM interface. If the A7 silicon is the same between phone & tablet, why change the memory packaging? Does PoP affect yields, or are there other drawbacks, that mean its use is only beneficial if packaging space is the primary restriction?

3) I don't believe that Anand updated the 5S to 7.0.3, so on the GPU tests the gap between phone & pad are artificially higher than they should be. I believe that any difference in performance is down to looser thermal restraints, rather than GPU clockspeed.
 
Depends on the chip. A rough guideline for active LPDDR3 power is on the order of 80mW/Gbps/device. As for packaging, off-package DRAM helps cooling if you have the space available, so that's why it tends to get used in tablets, since it buys you a (much) higher thermal limit.
 
Depends on the chip. A rough guideline for active LPDDR3 power is on the order of 80mW/Gbps/device. As for packaging, off-package DRAM helps cooling if you have the space available, so that's why it tends to get used in tablets, since it buys you a (much) higher thermal limit.

There are now a ton of RF chips in the new iPads to support every band under the sun, so they got that space back from the memory.

Also, iPhone memory cadence:

128MB
128MB
256MB
512MB
512MB
1GB
1GB

Trend is mostly clear. iPhone 6 will be 2GB.
 
There are now a ton of RF chips in the new iPads to support every band under the sun, so they got that space back from the memory.

Also, iPhone memory cadence:

128MB
128MB
256MB
512MB
512MB
1GB
1GB

Trend is mostly clear. iPhone 6 will be 2GB.

Interestingly, both Samsung & Hynix have announced 6Gb LPDDR3 chips, so if Apple wanted to maintain a maximum of 2 DRAM per device, we could see a 1.5GB iPhone. However I found out that Hynix are also planning a 8Gb chip by next year.

http://www.skhynix.com/en/pr_room/news-data-view.jsp?search.seq=2235&search.gubun=0014
 
The extra power consumption and heat from another GB or so of RAM shouldn't stand out much, I wouldn't expect, against the balancing act between the two much larger influences on those constraints, the display and battery.

Anyway, the performance improvement it would've brought to iOS 7 multitasking would be very welcome.

They may want any "slack" for more battery and/or more LTE support though.
 
You mean more physical space inside the device?

Or are you saying more LTE bands require more of the die that more RAM would have taken up?

Isn't the baseband separate from the SOC?
 
Ifixit is reporting that the A7 in the ipad air is marked as APL5698, whereas their iphone5s chip was marked APL0698.

Also the screen is supplied by LG.
 
Yeah, it is separate. Only tegra4 has a version with integrated modem from what I know...

Most Snapdragons have an integrate baseband, like the Snapdragon 800 for example (except the 8074). The Snapdragon 600 chips are an exception though. Actually, AFAIK it's not just most Snapdragons, it's almost all Snapdragons that have an integrated baseband.
 
Interesting! Thank you.

Shows how good NV PR is when they give the impression of having invented something which isn't new... ;)
 
Interesting! Thank you.

Shows how good NV PR is when they give the impression of having invented something which isn't new... ;)

I think you'll find that if you reread NV's PR, you'll find that they never even claimed to have invented it :p.

This does make me curious. When was the first time they put the baseband on the SoC? 10 years ago or something? That question probably gets a little harder to answer when you consider that back then SoCs weren't what they are like today. Is there a simple history of the phone SoC? I only know about about it from now until say 5 years ago.

Sorry if this is a bit off topic.
 
Even over the previous iPhone, the 5, the 5S's new generation GPU architecture apparently provides a massive boost.

This makes for a particularly well-suited performance benchmark of its new GPU considering it's a rendering function which could actually be useful to its processing of the OS.

Another interesting observation in the article is how Apple's blur implementation manages a processing time that's fairly independent of the number of sample radii which was scaling up the workload proportionately in the author's implementation.

I've noticed that Apple's blur is fairly low quality, but the small screen of the 5s makes it easily noticeable only in certain places (really high contrast shots). The larger iPad Air screen, however, highlights every single visual flaw. That in and of itself could be forgivable if it weren't for the fact that some of these effects also noticeably drop framerate on a supposedly flagship device...

Maybe I should have delayed my gadget upgrades until after iOS 7.1 or something.
 
Back
Top